Mostrar el registro sencillo del ítem

dc.contributor.authorIbarra-Delgado, Salvador
dc.contributor.authorSandoval-Arechiga, Remberto
dc.contributor.authorGómez-Rodríguez, José Ricardo
dc.contributor.authorOrtiz-López, Manuel
dc.contributor.authorBrox-Jiménez, María
dc.date.accessioned2020-11-30T12:04:15Z
dc.date.available2020-11-30T12:04:15Z
dc.date.issued2020
dc.identifier.urihttp://hdl.handle.net/10396/20849
dc.description.abstractCurrent System-on-Chips (SoCs) execute applications with task dependency that compete for shared resources such as buses, memories, and accelerators. In such a structure, the arbitration policy becomes a critical part of the system to guarantee access and bandwidth suitable for the competing applications. Some strategies proposed in the literature to cope with these issues are Round-Robin, Weighted Round-Robin, Lottery, Time Division Access Multiplexing (TDMA), and combinations. However, a fine-grained bandwidth control arbitration policy is missing from the literature. We propose an innovative arbitration policy based on opportunistic access and a supervised utilization of the bus in terms of transmitted flits (transmission units) that settle the access and fine-grained control. In our proposal, every competing element has a budget. Opportunistic access grants the bus to request even if the component has spent all its flits. Supervised debt accounts a record for every transmitted flit when it has no flits to spend. Our proposal applies to interconnection systems such as buses, switches, and routers. The presented approach achieves deadlock-free behavior even with task dependency applications in the scenarios analyzed through cycle-accurate simulation models. The synergy between opportunistic and supervised debt techniques outperforms Lottery, TDMA, and Weighted Round-Robin in terms of bandwidth control in the experimental studies performed.es_ES
dc.format.mimetypeapplication/pdfes_ES
dc.language.isoenges_ES
dc.publisherMDPIes_ES
dc.rightshttps://creativecommons.org/licenses/by/4.0/es_ES
dc.sourceMicromachines 11(12), 1063 (2020)es_ES
dc.subjectSystem-on-Chipes_ES
dc.subjectArbiteres_ES
dc.subjectInterconnectiones_ES
dc.subjectBandwidth controles_ES
dc.subjectQuality of servicees_ES
dc.titleA Bandwidth Control Arbitration for SoC Interconnections Performing Applications With Task Dependencieses_ES
dc.typeinfo:eu-repo/semantics/articlees_ES
dc.relation.publisherversionhttp://dx.doi.org/10.3390/mi11121063es_ES
dc.rights.accessRightsinfo:eu-repo/semantics/openAccesses_ES


Ficheros en el ítem

Thumbnail

Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem